VHDL语言出错Error (10500): VHDL syntax error at CTRL.vhd(47) near text "IF"; expecting "process"

兄弟姐妹们,打扰一下,VHDL语言出错Error (10500): VHDL syntax error at CTRL.vhd(47) near text "IF"; expecting "process"
最新回答
小棉袄

2024-09-03 00:17:52

第41行的N'EVENT中,
单引号
必须是半角符号,但你键入了全角符号。
第54行也出现同样的问题。
追问

改了之后又出现了新的问题